Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-05-01から1日間の記事一覧

FPGAボードPapillo ONEであそぶ その4:自前HDLでLチカ

shuzo-kino.hateblo.jp シリーズの4、今回は自前のHDLでLチカしてみます。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity WebPack_QuickStart is Port ( A : out STD_LOGIC_VECTOR (…