Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-05-02から1日間の記事一覧

バスを繋ぐ&演算子@VHDL

VHDLでFPGAでバスを繋ぐには"&演算子"をつかいます 実際のところ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Switches_LEDs is Port ( switches : in STD_LOGIC_VECTOR(1 downto 0); LEDs : out STD_LOGIC_VECTOR(1 downto 0)); end Switches_LEDs;…