Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-05-05から1日間の記事一覧

シグナルの初期値

シグナルには初期値を設定することができます。 実際のところ たとえば、明示的に0クリアしときたい場合は以下のように設定します。 signal counter : STD_LOGIC_VECTOR(7 downto 0) := "00000000"; 全部同じなら、こんな書き方でも可。 signal counter : ST…