Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

FPGAボードPapillo ONEであそぶ その3:VHDLのための環境構築

FPGAボードPapillo ONEであそぶ その2:公式提供のbitファイルでLチカ - Bye Bye Moore
の続き。
用意されたbitファイルを書き込んでいるだけでは何も進まないので、
自前のVHDL環境を構築します。

実際のところ

作業環境はUbuntu16LTS on VirtualBoxです。

HDL開発用ソフトウェア Web ISEを導入

Xilinx社のアカウントをつくり、以下のリンクから「Linux 用フル インストーラー」導入するのですが……なんと6Gbyteもあります。
気長にまちましょう
www.xilinx.com
Xilinx ISE Design Suite 14.7 を Ubuntu 14.04 にインストールするの手順を忠実に実行すると、最終的にこんな画面が出るように成る筈
f:id:shuzo_kino:20180505023039p:plain
ここで、手順中につくったrunise.shを

#!/bin/bash
. /opt/Xilinx/14.7/ISE_DS/settings64.sh
planAhead
#rm -f planAhead.jou
#rm -f planAhead.log
#rm -f planAhead_*.backup.jou
#rm -f planAhead_*.backup.log

から、3行目を"ise"に書き換えて以下のようにして上書き

#!/bin/bash
. /opt/Xilinx/14.7/ISE_DS/settings64.sh
ise
#rm -f planAhead.jou
#rm -f planAhead.log
#rm -f planAhead_*.backup.jou
#rm -f planAhead_*.backup.log

再起動すると、こんな感じでISEの画面になります
f:id:shuzo_kino:20180505023626p:plain

プロジェクト

基本的にそのまんま公式通り
Papilio platform - Getting Started WebPack VHDL

注意点として…ボード設定の解説が微妙に理解し難く私はココで2時間ほど*1浪費することに……。
私はPapilio ONE 500kなので以下のように設定しました。

Family to “Spartan3E"
Device to “XC3S500E"
Package to “VQ100"
Speed to "-4"

f:id:shuzo_kino:20180505032109p:plain
つかう言語はVHDL/Verilogと任意の設定をしてください。
私は手元のチュートリアル資料の関係からVHDLにしました。

参考もと

kivantium.hateblo.jp

*1:再インストールまでしたので