Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-04-30から1日間の記事一覧

FPGAボードPapillo ONEであそぶ その3:VHDLのための環境構築

FPGAボードPapillo ONEであそぶ その2:公式提供のbitファイルでLチカ - Bye Bye Moore の続き。 用意されたbitファイルを書き込んでいるだけでは何も進まないので、 自前のVHDL環境を構築します。 実際のところ 作業環境はUbuntu16LTS on VirtualBoxです。…