Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-05-04から1日間の記事一覧

VHDLのモジュール定義

entity、architectureなどVHDLには色々モジュール定義があります。 今回はコレの私的まとめです 実際のところ 内部的なモジュールがentity entity mymodule is Port ( input1 : in STD_LOGIC_VECTOR (3 downto 0); output1 : out STD_LOGIC_VECTOR (3 downto…