Bye Bye Moore

PoCソルジャーな零細事業主が作業メモを残すブログ

2018-05-01から1ヶ月間の記事一覧

PlantUML GizmoをつかってGoogle DocsでPlantUMLを

chrome.google.com執筆時点で 更新日: 2017年11月14日 とあるので、最新版がマージされていないことは注意単に中身をみたいだけならブラウザ拡張でこんなのも chrome.google.com

Google Spread Sheetで背景色を縞模様にする

Google Spread Sheetで背景色を縞模様にする方法です 見やすさの問題で奇数白、偶数色つきみたいな表示をすること、ありませんか? これ、自力でやるとセル入れ替えたときの色付け直しが面倒なんですよね…… そんなことは開発者様もご存知のようで、それよう…

Google Spread Sheetで値に応じてセルの文字色や背景色を変える

Google Spread Sheetで値に応じてセルの文字色や背景色を変える方法です 実際のところ 「表示形式」「条件付き書式」 デフォでは値のあるセルを緑に埋め尽くす設定になっています そこで、こんなルールをつくると このように値に応じて背景色が代わり、数値…

Google Spread Sheetでプルダウン型選択を実装

未着手、進行中、完了……と、作業管理系シートではプルダウンメニューが便利です。たとえば、こんな感じので 横のを押すと選択肢が出てくる……みたいな 今回は、こういうやつをGoogle Spread Sheet実装する方法です。 基本的な考え方は同じなので、ExcelでもKi…

【読書メモ】アジャイルサムライ−達人開発者への道−

アジャイルサムライ−達人開発者への道−作者: Jonathan Rasmusson,西村直人,角谷信太郎,近藤修平,角掛拓未出版社/メーカー: オーム社発売日: 2011/07/16メディア: 単行本(ソフトカバー)購入: 42人 クリック: 1,991回この商品を含むブログ (257件) を見るプ…

【読書メモ】 発達障害の僕が「食える人」に変わった すごい仕事術

発達障害の僕が「食える人」に変わった すごい仕事術作者: 借金玉出版社/メーカー: KADOKAWA発売日: 2018/05/25メディア: 単行本この商品を含むブログを見るネット論壇で有名な発達障害者論客の借金玉氏による、 社会性の無いお友達が何とかやってくためのTI…

ISOWEEKNUM関数でISO基準の週番号を得る

ISOWEEKNUM関数はISO8601に合致した週番号を返します。 実際のところ 5/25日の週番号を出すには、以下のような感じにします。 =ISOWEEKNUM(DATE(2018,5,25))結果は21。 参考もと support.google.com ISO 8601 - Wikipedia

CONVERT関数

CONVERT関数は物理単位をヨロシク変換してくれるナイスガイです。 適切に使えば、キログラムで出せというたのにグラムで出してきたスカポンタンの雑仕事やN・mとkg・cmが混在してるカオスな資料に秩序を取り戻してくれるでしょう。 実際のところ =CONVERT(5.…

TO_PURE_NUMBER

TO_PURE_NUMBER関数は数値を含んだカラムから一定パターンで数値を抽出する関数です 実際のところ A2にある25%を0.25にするには以下の様にします TO_PURE_NUMBER(25%) 参考もと TO_PURE_NUMBER - Docs editors Help

表計算ソフトで元利均等返済の計算をする

元利均等返済はその名の通り毎回の支払いが均等な方式です 今回は、それを表計算ソフトで計算する方法について 実際のところ 利息3%を12回払いとした場合の、一回あたりの支払い額は以下の式 =-PMT(0.03/12, 12, 1000000)元金はPPMT関数 =PPMT(0.03/12, A6, …

表計算ソフトでローマ数字をアラビア数値にしたり、逆をやったり

表計算ソフトでローマ数字をアラビア数値にしたり、逆をやったりする方法です 実際のところ アラビア数字をローマ数字に 1234はMCCXXXIVですが、 A2に格納された1234をコンバートする関数は以下 =ROMAN(A2) ローマ数字をアラビア数字に CIVは104。 A3に格納…

品質管理検定(QC検定)

展示会ログなんかでも書いている通り、最近はハードウェアの仕事が増えてます。 そんな中で、品質管理が課題になってました。 いつまでも研究室めいた出たとこ勝負のワンオフ装置ばかり作ってるわけにも行きませんからね。 そろそろ品質管理の必要があるなと…

【イベントログ】ベトナムフェス2018に行って来ました

ベトナムフェスティバル 2018 | VIET NAM FESTIVAL 2018 ベトナム系飲食店が密集してる事、 舞台でアーティストのライブという構成は前回と同様。 各種案内も万全、ゴミ収集所も機能的に動いており、イベント実行委員会の実力は安定していそうです。前回と違…

ピボットテーブルに複数カラムを追加する

今描いてます

GETPIVOTDATAでピボットテーブルから値をとる

GETPIVOTDATAでピボットテーブルから値をとる方法です 実際のところ 一番目の引数は内部管理用 =GETPIVOTDATA("HOGE",'ピボット テーブル 1'!B3) 参考もと GETPIVOTDATA - Docs editors Help

google スプレッドシートでピボットテーブルを使う

ピボットテーブルはソートの高機能版めいたものです。 特定の文字列を持つ列数種類を抽出し それを日付順で並べる……なんて事ができます。 実際のところ 上部のメニューで [データ] 次へ [ピボット テーブル] を選択します。ピボット テーブルのシートをクリ…

OSの改行文字を得る

標準ライブラリOSには色々と便利な関数があります。 実際のところ import os os.linesep #>> '\n' これがwindowsだと import os os.linesep #>> '\r\n' 参考もと How can I remove (chomp) a trailing newline in Python? - Stack Overflow 16.1. os — Misce…

Python3でchomp的手法以外で改行文字を消したい場合

shuzo-kino.hateblo.jp の派生。 改行文字を取扱たい場合、rstrip以外にも色々手があります。 実際のところ まずはrstrip。 これは連続してる奴もまとめて消す奴です。 なので、厳密にはchomp的ではないですね。 str = "\na\nb\n\n" str.rstrip() #>> '\na\n…

Blender で文字をいれる

編集モードにすると こんな感じで編集可能 設定画面でフォントや大きさ等々の設定も可能 コマンドによりパスに変換することも可能です 参考もと Doc:JA/2.6/Manual/Modeling/Texts - BlenderWiki

Blenderのミラーリング機能

こんなものがあったとします アドオンを追加 X軸の負の値で対称な図形になります。 参考もと www.youtube.com

Googleスプレッドシートの変更通知を設定する

Googleスプレッドシートの変更通知を設定する方法です。 予約フォームの受け手にスプレッドシートを使っている場合、 更新の見落としをする恐れが少なくなります。 実際のところ ツールの「通知タイミング」を選択。 子画面がでてきます。 メールはログイン…

【イベントログ】第七回IoT/M2M展をまわってみました

第七回IoT/M2M展に絶賛出展中なのですが shuzo-kino.hateblo.jp 時間をつくって、他のブースもみて回りましたASUSのボードコンピュータ「TinckerBoard」 連動する深度センサ 逐次、空気梱包材をつくってくれる装置。 レンタルが主ですが、購入もできるそうで…

【イベントログ】第七回IoT/M2M展の出展

第七回IoT/M2M展に出展しています。 www.m2m-expo.jpd.japan-it.jp 場所はL字カーブの曲点で滅法良いところです。 明らかに身の丈に余ったブースを取ってしまいましたね(白目各種設備は株式会社スズヤさん提供の展示会オールレンタルをつかいました パーテ…

indesignでPDFを読み込む

indesignでPDFを読み込む方法です。 実際のところ とあるPDFに文字入れたかったのですが Acrobat reader の有料版を買うほどでもないなと思い

InDesignで間違ってかけたグラデ等の効果を消す

InDesignで間違ってかけたグラデ等の効果を消す方法です 実際のところ 対象オブジェクトで右クリック=>「効果」を選択 こんな画面がでるので、これのチェックを外せばいけます 逆に、この画面から色々効果を掛けることも可能です

【読書メモ】「若者」をやめて、「大人」を始める

私、今年で32歳になります 中高生ぐらいには30代になった頃には落ち着きを身に着けて、それ相応の社会的立ち位置を身に着けてると思っていました。実際の私はほぼ真逆に陥りつつあり、そろそろ生き方というものを真剣に見直すべきなんじゃないかと薄ボン…

シグナルの初期値

シグナルには初期値を設定することができます。 実際のところ たとえば、明示的に0クリアしときたい場合は以下のように設定します。 signal counter : STD_LOGIC_VECTOR(7 downto 0) := "00000000"; 全部同じなら、こんな書き方でも可。 signal counter : ST…

VHDLのモジュール定義

entity、architectureなどVHDLには色々モジュール定義があります。 今回はコレの私的まとめです 実際のところ 内部的なモジュールがentity entity mymodule is Port ( input1 : in STD_LOGIC_VECTOR (3 downto 0); output1 : out STD_LOGIC_VECTOR (3 downto…

VHDLにおけるIF文

count: process(clock) begin if rising_edge(clock) then if switch1 = ’1’ then if switch2 = ’1’ then output_signal <= ’1’; else output_signal <= ’0’; end if; end if; end if; end process; 参考もと https://cdn.sparkfun.com/datasheets/Dev/FPGA/…

バスを繋ぐ&演算子@VHDL

VHDLでFPGAでバスを繋ぐには"&演算子"をつかいます 実際のところ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Switches_LEDs is Port ( switches : in STD_LOGIC_VECTOR(1 downto 0); LEDs : out STD_LOGIC_VECTOR(1 downto 0)); end Switches_LEDs;…